Light-industry-up.ru

Экосистема промышленности

Active-HDL

31-05-2023

Active-HDL

Программа Active-HDL 8.2
Тип

Проектирование FPGA

Разработчик

Aldec

Операционная система

Microsoft Windows

Языки интерфейса

английский язык

Первый выпуск

1997

Последняя версия

8.3 (2010)

Лицензия

Коммерческое приложение

Сайт

aldec.com/activehdl

Active-HDL — среда разработки, моделирования и верификации проектов для программируемых логических интегральных схем, разработанная фирмой Aldec. Первая версия программы вышла в 1997 году[1] .

Программа позволяет вводить устройства с помощью языков описания аппаратуры, а также с помощью структурных схем. Изначально программа поддерживала только язык VHDL, но со временем добавилась поддержка языков Verilog и SystemC[2]. С помощью программы можно графически проектировать конечные автоматы[3], а также конвертировать HDL описание в графические структурные схемы и обратно.

Программа снабжена мощным ядром моделирования. Поддерживается совместная работа с программами MatLab и Simulink[4].

Примечания

  1. Aldec Company timeline
  2. Aldec releases Active-HDL 6.3 with integrated SystemC
  3. State Machine Editor Overview
  4. Aldec Active HDL

Ссылки

Aldec Active HDL 8.2 User Manual

Active-HDL.

© 2014–2023 light-industry-up.ru, Россия, Краснодар, ул. Листопадная 53, +7 (861) 501-67-06